中金|全球硬科技巡禮(一):日本半導體設備的成長之路

情懷不該 2024-05-15 17:10:35

2016年至今,日本半導體設備指數的漲幅在三段時期明顯高于日經225指數,分別爲2016年1月至2018年2月(漲幅156%)、2019年1月至2021年12月(漲幅235%)、2022年9月至2024年3月(漲幅211%)。近一段上漲中,我們認爲除了宏觀因素和半導體複蘇之外,還有日本政府鼓勵半導體投資、AI浪潮利好産業鏈、日股估值偏低等因素。本文通過探究曆史和當前全球格局的方式分析日本設備公司的投資價值。

摘要

日本半導體産業經曆五十年起落。回顧曆史,日本半導體産業在政府主導的資源集聚策略下,于80年代中後期達到頂峰,後因各種內外部因素逐漸衰落。目前日本半導體總産值降至全球第五,但在半導體設備、材料以及零部件領域仍維持在全球前列。

導體設備積澱深厚,多領域領先。1H23全球半導體設備TOP10中日本公司占據五席,其中東京電子(TEL)僅次于阿斯麥(ASML)和應用材料(AMAT)。日本在部分前道設備領域具備優勢,2022年全球塗膠顯影設備/清洗設備/熱處理設備市場中日本企業占比92%/66%/44%,其余領域日本也占據10~30%不等的份額。後道設備領域,日本企業也占據了各賽道龍頭地位,2022年全球切割減薄設備/測試設備/探針台/模塑設備市場中日本企業占比88%/56%/73%/65%。我們總結日本的強勢領域具有“精工細作的碎片化市場”的特征,在50億美元以下(全球)的細分市場中,擅長發揮規模效應的歐美廠商並不具備競爭優勢,而日本廠商形成了“一家廠商引領一個領域”的格局。

全球設備投資額逐步複蘇有望驅動日本廠商業績趨向新高,其中後道設備廠商或進一步受益于AI對先進封裝需求的拉動。全球半導體設備競爭格局相對穩定,廠商業績主要受到下遊晶圓廠/封測廠需求的影響,SEMI預計2024/2025年全球半導體設備銷售額將同比增長5%/18%至1,053/1,240億美元,我們認爲日本設備廠商或爲行業周期上行的核心受益者。同時,人工智能日益密集的計算需求加速先進封裝技術的滲透,無論是工序數量的增加還是工藝難度的提升,都爲中道和後道設備帶來增量需求,我們認爲後道設備龍頭有望獲得基本面和估值面的雙輪驅動。

風險

全球晶圓廠資本支出下滑;公司産品研發能力減弱;地緣沖突加劇。

正文

本輪日本半導體設備緣何上漲?

2024年2月22日,日經225指數收39,099點,首次超越1989年38,957點的曆史高點,並在3月22日盤中達到41,088點的新高。在本輪日本股市強勢上漲的背景下,日本半導體板塊(參照MSCI日本半導體與半導體設備指數)實現了突出的超額收益,2022年9月30日至2024年3月29日期間超額收益達到156%。

我們將2008年至今日本半導體指數的走勢分爲兩段:第一段是2008年~2015年,日本半導體指數跑輸日經225指數,主要與半導體産業周期相關;第二段是2016年至今,日本半導體指數顯著跑贏日經225指數,憑借半導體設備、半導體材料、MCU、CIS等細分領域的強勢地位,日本半導體行業在終端升級創新、全球産業鏈重構、AI驅動産業變革等趨勢下展現了良好的發展前景。

2016年至今,日本半導體設備指數的漲幅在三段時期明顯高于日經225指數,分別爲2016年1月至2018年2月(漲幅156%)、2019年1月至2021年12月(漲幅235%)、2022年9月至2024年3月(漲幅211%)。

我們總結主要與全球半導體三輪小周期複蘇帶來的廠商業績增長和估值上修有關。而在最近一段上漲中,我們認爲除了宏觀因素和半導體複蘇之外,還有日本政府鼓勵半導體投資、AI浪潮利好産業鏈、日股估值偏低等因素。

► 日本加大半導體産業投資並成立先進制造聯合體,同時鼓勵海外高端産能落地日本本土:2021年6月,日本經濟産業省發布了第一版《半導體和數字産業戰略》,目標是在2030年將日本半導體銷售額提升兩倍至15萬億日元,並推動2nm 計算芯片量産、NAND 內存高性能化等。2022年8月,豐田、電裝、索尼、NTT、NEC、軟銀、铠俠、三菱UFJ銀行合資成立Rapidus,目標是在北海道建成一座2nm晶圓代工廠並于2027年實現量産;隨後,Rapidus先後在2022年11月、2023年4月、2024年4月獲得了日本政府提供的700億日元[1]、2600億日元[2]、5900億日元補貼[3]。此外,日本政府也大力支持海外晶圓代工龍頭在本土建廠,如台積電于2021年11月宣布將和索尼合資設立晶圓代工子公司JASM[4],一廠計劃將于4Q24開始生産12/16/22/28nm芯片,日本政府爲其提供了最高4670億日元的資金補貼,2024年2月台積電宣布增資擴建二廠[5],計劃于2027年量産6/7/40nm芯片,日本政府表示將繼續補貼7300億日元[6],兩座工廠合計獲得的資金補貼占到總投資成本的40%;三星也于2023年12月宣布將在未來五年內投資400億日元在日本設立先進封裝工廠[7],日本政府將爲其提供200億日元的補貼。由此我們判斷,來自日本本土的半導體上遊生産要素的需求有望在未來幾年保持較高增長,在日本相對緊密的産業關系下,日本半導體設備和材料廠商業績有望達到新高,推升股價上漲。

► AI芯片主要采用先進制程制造,日本部分企業在設備和材料端有突出地位:若從個股來看,日本半導體設備廠商的股價較早開始上漲。TEL作爲日本前道設備的龍頭,2023年上半年股價走勢與AMAT、LAM類似,2023年下半年以來成爲海外(相對于日本本土)人工智能相關資金流入的核心受益者,股價跑贏AMAT、LAM。後道設備中,Advantest、DISCO等日本廠商的主營業務(測試機、減薄機等)需求均受到AI的較大推動,自2023年4月起就展現了遠超美國後道龍頭的漲幅。

► 日股較低的估值吸引投資人關注:根據MSCI,2016年至2022年日本半導體指數的市盈率基本高于美國半導體指數,而2023年上半年日本半導體指數的市盈率降至美國半導體指數以下且估值差持續擴大,我們認爲主因2023年AI相關投資趨勢下,美國半導體龍頭標的(主要在芯片設計領域)成爲全球二級投資者的優先選擇,估值率先提升。2023年日本與美國半導體指數的估值差最高達到10x P/E左右,較低的估值使得日本半導體板塊受到關注。

圖表:日本半導體指數與日經225指數、美國半導體指數對比

注:左圖取2008/02/29爲基數100,右圖取2016/01/29爲基數100,計算相對漲跌幅資料來源:Bloomberg,中金公司研究部

圖表:日經225指數、日本半導體指數、美國半導體指數的估值情況(P/E TTM)

資料來源:Bloomberg,中金公司研究部

圖表:TEL、AMAT、LAM股價走勢

注:取2023/01/01爲基數100,計算相對漲跌幅資料來源:Wind,中金公司研究部

圖表:Advantest、DISCO、KLA股價走勢

注:取2023/01/01爲基數100,計算相對漲跌幅資料來源:Wind,中金公司研究部

日本設備股股息率較高。我們對比日本和歐美的半導體設備公司發現,日本設備股的特點在于股息率較高。淨利率方面,日本半導體設備廠商整體不及歐美廠商,我們認爲一方面由于日廠在部分領域處于全球第二/第三位置,毛利率略低于歐美廠商,另一方面由于日本企業稅負較高;但個別在利基市場“精工細作”的廠商的盈利能力接近歐美廠商,如Disco、Lasertec。相對較低的淨利率以及保守的財務杠杆率導致日本廠商的ROE普遍低于歐美廠商。但日本廠商的優勢在于股息率高于歐美廠商。隨著最近一輪海外資金湧入推升日本設備股股價,當前日本設備股的市盈率已達到了接近或超過歐美設備股的水平。

圖表:日本和歐美半導體設備公司圖譜

注:1)財務數據換算按照JPY/USD=140.49,EUR/USD=0.92;2)財務數據由Capital IQ調整至2023年自然年;3)更新時間截至2024/5/7資料來源:Capital IQ,Wind,中金公司研究部

回顧:日本半導體産業發展曆程

20世紀70-80年代,政府的支持推動了半導體産業快速發展

20世紀70-80年代,作爲美國半導體技術的追趕者,日本半導體行業在日本通商産業省(以下簡稱通産省,現經濟産業省)的扶持下發展迅猛;VLSI(超大規模集成電路計劃)帶來完整半導體産業鏈。1976年,日本政府組織日本電氣、日立、三菱、富士通和東芝以及日本通産省的電氣技術實驗室、電子技術綜合研究所、日本電信電話公社聯合研究開發,設立“VLSI技術研究所”。“VLSI”分爲聯合研究室和小組實驗室,旨在全面突破技術上下遊和關鍵環節。聯合研究室中,第一、二、三研究室負責半導體裝備,第四研究室負責半導體材料,第五研究室負責光刻工藝,第六研究室負責封裝測試[8]。小組實驗室主要由計算機綜合研究所(CDL)和日電東芝信息系統實驗室(NTIS)構成,分散在相關公司內部,進行應用技術研究。這使得日本在當時建立了完善獨立的半導體産業體系,擁有較高技術自主性。

同時,通産省控制外彙配給、外資准入、信貸等金融工具,放大了“VLSI”等産業政策的效果。80年代前,日本通産省通過設置關稅壁壘等貿易保護政策,使得當時包括半導體産業在內的幼稚産業免受海外巨頭沖擊。此外,通産省對日本銀行業實施影響力,1991年日本經濟泡沫之前,日本商業銀行基本上將其所有存款進行放貸,以支持包括半導體在內的關鍵産業發展,這使得日本半導體公司理論上只要所賺取的利潤可以支付債務的利息,就可以維持相對較高的資産負債比率來快速擴張業務。通過政府制定産業發展計劃,優化資源配置,加強知識産權管理,建立利益分配機制,日本半導體行業優質資源聚集,企業研發積極性提升,從而驅動企業快速成長。

圖表:VLSI組織架構

資料來源:《日本VLSI項目的經驗和啓示》(2013年7月,董書禮和宋振華),中金公司研究部

DRAM(動態隨機存儲器芯片)作爲最大推手,推動日本半導體産業在80年代中後期達到頂峰。在日本政府扶持下,從70年代末日本推出256K DRAM開始,日本半導體企業開始快速成長,産品搶占全球市場。到80年代中期,日本DRAM産品在全球市場所占的份額接近80%,日本集成電路産品占美國市場的30%,尖端半導體産品占90%。1986-1989年,全球前三大半導體廠商均爲日本企業,分別爲NEC、東芝、日立;前十大廠商中有6家是日本公司(另三家爲富士通、三菱電機、松下)。

圖表:日本半導體産業在80年代中後期達到頂峰,後逐漸衰落

資料來源:Gartner,IC Insights,中金公司研究部

《日美半導體協議》以及多種內外部因素導致日本半導體發展放緩

隨著美國DRAM份額被日本趕超,美國計劃采取措施反制。由于日本在技術層面已經做好了較爲充足的儲備,美國較難通過限制關鍵技術出口來制裁日本。1985年,美國政府與日本簽訂《日美半導體協議》,從關稅和市場准入方面限制和打擊日本。

《日美半導體協議》包括2個目標:(1)消除日本企業以低于成本的價格在美國和第三國市場銷售半導體的行爲;(2)消除日本市場對美國半導體産品銷售造成限制等不公平貿易行爲;和3個措施:(1)“數值目標”:美國半導體産品在日本市場中所占比重必須達到20%;(2)“最低限價”:日本減少對美國半導體出口,在美國市場的銷售價格不得低于生産成本;(3)專利保護:完善知識産權保護制度,實現對美國專利的有效保護。

日本半導體企業通過調整經營方式和海外布局等措施積極應對《日美半導體協議》帶來的影響。面對《日美半導體協議》帶來的負面影響,日本半導體企業選擇:(1)調整經營方式,進一步加深母企業和下屬小企業的合作關系,降低生産成本;(2)擴大海外生産,合理布局産業鏈,例如通過布局美國擴大在美産品銷售,布局中國和東南亞降低勞動力成本;(3)調整産品銷售策略,擴大高附加值産品的占比。

日本在《協議》後雖然采取了一系列應對措施,但仍産生了直接負面影響。“最低限價”使得客戶轉向中國台灣、韓國等價格更有優勢的市場,導致日本企業份額、銷售額和盈利能力下降,1992年(價格監管的最後一年)東芝、日立等綜合電機企業的淨資産收益率降至0~5%區間,財務狀況的惡化造成設備投資的間斷,阻礙半導體産品的叠代。1999年,全球半導體前十大廠商中日本企業僅有3家,其中NEC、東芝、日立分列第二、三、七位。

圖表:東芝、日立、富士通、夏普淨資産收益率下降

資料來源:《<日美半導體協議>沖擊下的日本半導體産業發展研究》(2020年1月,田正),中金公司研究部

圖表:《日美半導體協議》對日本半導體産業的影響

資料來源:《日本半導體産業發展得失以及對中國的啓示》(2018年6月,李浩東),中金公司研究部

90年代末至今,一系列內外部因素導致日本半導體市場份額持續衰落。(1)堅持一體化生産模式,研發創新落于分工模式。全球半導體細化分工的趨勢在80年代末期初見端倪,分工模式下設計端和制造端的技術叠代速度大大加快,也推動終端産品頻繁升級,而日本電機企業固守一體化生産模式,研發效率相對低下,難以靈活應對市場需求。盡管90年代末開始大集團因種種原因陸續將半導體業務剝離,如日立、NEC和三菱的DRAM業務合並成爾必達,富士通剝離半導體業務,東芝出售DRAM業務,但未能扭轉日本份額衰落的趨勢,且這些剝離的、新成立的公司借貸成本較高,且無法自主制定經營戰略決策,最終面臨破産或出售。(2)缺乏強大的硬件終端品牌。日本的DVD播放器、錄音機、電子計算器等終端産品具有優勢,爲日本半導體産業發展提供了大市場,而隨後興起的個人電腦、智能手機等終端市場中鮮有日本品牌的身影,不像中、美、韓本土就有旺盛的半導體購買和創新需求。(3)資源過度集中導致技術路徑一旦偏離可能會錯過特定産業浪潮。80年代,通産省集中資源在超大規模集成電路、第五代電子計算機、高清電視(HDTV)等作爲下一輪産業發展的重點,其中第五代電子計算機、高清電視等産品偏離了主流産業發展路徑,造成日本電子企業錯過信息技術革命浪潮。(4)政府降低補貼。進入90年代以後,日本政府逐漸降低了對日本半導體産業的支持,雖然經過一定程度的改善,2008年日本對半導體産業的扶持力度(免征企業所得稅、設備折舊年限、設備投資減免稅等)仍小于韓國和中國台灣。

2023年全球前十大半導體廠商中已無日本企業。

目前日本半導體産業在上遊環節仍然維持了較強的競爭力

從總量上看,日本半導體産業在産業鏈上遊的半導體制造設備和材料仍然維持了較強的競爭力。根據WSTS和IC Insights數據,2000-2022年,日本芯片的全球份額從28%降至9%。盡管日本綜合電機企業和芯片産業的競爭優勢不複從前,但20世紀電機企業支撐了一系列上遊環節企業的發展壯大,且産業優勢延續至今,包括半導體材料、設備以及元器件等領域,代表企業有東京電子、愛德萬測試、信越化學、村田制作所等。

半導體設備的客戶對于價格敏感性較低、行業技術壁壘較高、産品精密度要求高、對經驗積累的依賴性強,這些因素對于擅長精工細作的日本半導體設備企業來說成爲了優勢。同時,這些日本半導體設備龍頭也通過兼並收購,擴大業務版圖,打造技術協同。例如東京電子于2000年收購美國晶圓清洗設備廠商Supercritical、于2012年收購美國先進封裝廠商NEXX System、于2012年收購美國清潔和表面處理廠商FSI,持續增強自身在半導體制造領域的競爭力,至今穩居全球第三大半導體制造設備廠商的地位。

展望:日本半導體設備積澱深厚,多領域領先全球

日本在半導體設備、材料、零部件等細分行業仍保持著世界第一梯隊水平。例如TEL的塗膠顯影設備、Screen的清洗設備,半導體材料中SUMCO、Shin-Etsu的硅片和JSR、TOK、Shin-Etsu的光刻膠等等。在本篇報告中,我們將首先闡述半導體設備行業中日本企業具有優勢的細分賽道。

根據CINNO Research[9],1H23全球前十大半導體設備廠商中有五家來自日本,分別爲排名第三的東京電子(TEL),排名第七至十的愛德萬測試(Advantest)、迪恩士(Screen)、日立高新(Hitachi-Hightech)、DISCO。

圖表:1H23全球半導體設備廠商收入規模排名TOP10

資料來源:CINNO Research,中金公司研究部

半導體制造工序分爲前道和後道,對應使用前道和後道設備,其中,2022年全球半導體前道設備銷售額爲941億美元,占所有設備的87.6%(根據SEMI[10])。半導體前道設備可以劃分爲光刻機、塗膠顯影設備、刻蝕設備、薄膜沉積設備、清洗設備、CMP設備、熱處理設備、離子注入設備、前道檢測設備等種類。後道設備包括封裝用的減薄機、切割機、貼片機、引線鍵合設備、模塑設備以及測試用的探針台、分選機、測試機等。

前道設備中,日本企業在各個種類均占有一席之地。根據Gartner 2022年數據計算,日本企業在全球塗膠顯影設備/清洗設備/熱處理設備市場中分別占據約92%/66%/44%的份額,主要由TEL和Screen兩家公司主導。其次,日本相對具有優勢的設備種類包括刻蝕設備(份額29%,TEL及日立高新)、CVD設備(份額25%,TEL及Kokusai)、CMP設備(份額23%,荏原制作所)、離子注入(份額16%,住友集團)。其余設備日本企業占比較小,但仍有尼康、佳能、日立高新、愛發科等企業。

日本前道設備企業可分爲“超市型”和“專精型”。大部分企業均爲“專精型”,如荏原專注CMP、日立高新專注前道檢測、Lasertec專注光罩檢測等。區別于上述廠商,TEL則和美國AMAT類似,定位“半導體設備超市”,旗下業務覆蓋除光刻以外的大部分設備,且均占有較高的市場份額。

日本企業在應用液體、流體、粉末的設備種類上占比較高,相關工序均基于化學反應,而在使用光、電子束、等離子的設備種類上占比較低,相關工序主要依靠粒子遷移和機械運動來完成。

圖表:全球半導體前道設備市場規模和份額

注:除特殊說明外均爲2022年數據;薄膜沉積、熱處理、離子注入、前道檢測競爭格局爲2020年數據;熱處理、離子注入市場規模爲基于2020年數據等比例估算至2022年資料來源:Gartner,Bloomberg Intelligence,中金公司研究部

後道設備中,日本企業基本擁有半數以上市場份額。DISCO和東京精密占據全球晶圓切割和減薄設備市場的88%份額,愛德萬占測試機市場56%份額,東京電子和東京精密占探針台市場73%份額,TOWA和YAMAHA占模塑設備市場65%份額。芯片焊接設備日本企業占比較小,新川和芝浦機電合計市占率約不到10%。

圖表:全球半導體後道設備市場規模和份額

注:切割和減薄設備、測試設備爲2022年數據;其余爲2019年數據資料來源:Gartner,Bloomberg Intelligence,SEMI,中金公司研究部

日本企業在後道設備和“化學系”前道設備具有優勢,我們總結原因有二:1)市場零散。歐美尤其占優的三大設備(光刻、刻蝕、薄膜沉積)均擁有100億美元以上市場規模,而日本占優的設備種類市場規模基本在50億美元以下,且單品的單條産線需求量小于三大設備,因此對于追求利潤最大化的歐美企業而言,零散市場的吸引力相對靠後。2)IDM的傳統沿革。歐美設備企業的特征是具有較強的模塊和系統設計能力,零部件則更多地向全球龍頭采購,而日本企業秉持IDM的傳統,從材料、零部件到整機均由企業或國家內部完成研發,促使日本企業在一些“隱性知識”較多、“優化標准”較多的領域做到高于全球其他同行的水准,也導致了日本多數企業專精于某一設備種類的格局。

前道設備:晶圓廠資本開支複蘇,日本前道設備廠商需求增長

日本半導體設備廠商以出口爲主,中國晶圓廠是重要客戶

SEMI預計2025年全球半導體設備需求有望反轉。根據SEMI,受到終端市場疲軟的影響,2023年全球半導體設備總銷售額預計同比下滑約6.1%至1,009億美元,其中,晶圓代工設備/測試設備/封裝設備銷售額分別同比下滑約3.7%/16.0%/31.0%。隨著半導體行業周期上行趨勢逐步確立,SEMI認爲2024年或將爲過渡年,2025年有望看到半導體設備銷售額的強勁增長,SEMI預計2024年/2025年全球半導體設備銷售額分別同比增長5%/18%至1,053億美元/1,240億美元,其中晶圓代工設備銷售額分別同比增長3%/18%至932億美元/1,098億美元。

300mm晶圓廠資本開支持續增加,前道設備長期需求旺盛。根據SEMI,2023年全球晶圓代工領域的300mm設備投資約爲590億美元,由于生成式AI、汽車和智能邊緣設備的需求景氣度較高,SEMI預計300mm晶圓代工領域的設備支出有望在2027年達到791億美元,2023~2027年CAGR爲7.6%。若進一步考慮存儲芯片、模擬芯片、微型器件、光電器件和分立器件領域,SEMI預計全球300mm設備投資額有望從2023年的960億美元左右增長到2027年的1,370億美元。

圖表:全球半導體設備總銷售額預計將在2025年達到1,240億美元

資料來源:SEMI,中金公司研究部

圖表:全球300mm設備投資預計將在2027年達到1,370億美元

注:SEMI統計了毛利率高于50%的廠商;300mm設備用于晶圓代工、存儲芯片、模擬芯片、微型器件、光電器件和分立器件領域資料來源:SEMI,中金公司研究部

日系設備品類基本覆蓋芯片制造各環節,但各品類領先程度不同

► 光刻機:Nikon和Canon全球合計市占率約爲8%

根據Gartner數據,2022年全球光刻機市場規模175億美元,ASML/Nikon/Canon的市占率分別爲87%/2%/6%,此外還有少數幾家電子束光刻機廠商,如日本NuFlare。其中,ASML主打高端機型(EUV),且是全球唯一的EUV光刻機量産廠商,Nikon和Canon主打中低端機型。分機型來看,2023年全球ArFi和ArF光刻機出貨量共169台,其中ASML出貨157台、Nikon出貨12台;全球KrF光刻機出貨量共246台,其中ASML出貨184台、Nikon出貨6台、Canon出貨56台;全球I-line光刻機出貨量共213台,其中Canon以131台的出貨量位居第一。

► 掩模檢測和塗膠顯影設備:光刻機重要幫手,日廠獨大

掩模檢測設備:根據Gartner,2022年全球掩膜檢測設備市場規模爲16億美元,供應商包括美國KLA、日本Lasertec、美國AMAT等,市占率分別爲53%、38%、5%(2020年市占率分別爲45%、45%、6%)。其中EUV掩膜檢測設備的競爭主要聚焦于Lasertec和KLA之中。

塗膠顯影設備:根據Gartner,2022年全球塗膠顯影設備市場規模36億美元,TEL全球市占率爲89%、Screen市占率5%(2020年市占率分別爲87%、5%),其余廠商包括韓國SEMES、中國大陸芯源微等。

► 刻蝕/薄膜沉積設備:電子線路成型的主力軍,TEL、AMAT、LAM三分天下

刻蝕設備的分爲導體刻蝕和介質刻蝕。根據Gartner數據,2022年全球刻蝕設備市場規模210億美元,其中,導體刻蝕設備116億美元,介質刻蝕設備94億美元。導體刻蝕設備龍頭爲Lam,2022年市占率54%,AMAT、日立高新、TEL分別以27%、7%、4%的份額跟隨其後(2020年Lam、AMAT、日立高新、TEL市占率分別爲54%、30%、6%、5%)。介質刻蝕設備市場基本由TEL和Lam壟斷,2022年市占率分別爲52%和40%(2020年市占率分別爲53%和38%)。

薄膜沉積設備分爲物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)。根據Gartner,2022年全球薄膜沉積設備市場規模爲228億元,其中各類CVD設備(Plasma CVD、Thermal CVD等)占比48%,ALD設備占比14%,PVD設備占比38%。TEL的CVD設備和ALD設備市占率較高,愛發科在PVD設備中占有一席之地。根據Gartner 2020年數據,全球CVD設備市場中AMAT以28%的份額占主導地位,Lam和TEL緊隨其後,市占率分別爲25%和17%。但在特定的細分品類如Batch CVD設備中,TEL和Kokusai兩家日本廠商幾乎壟斷市場。ALD設備的全球龍頭爲ASMI,2022年市占率爲48%,TEL市占率爲19%,AMAT和Lam也有布局ALD設備,占據剩余市場份額。PVD設備市場則被AMAT高度壟斷,2022年市占率爲86%,日本愛發科以5%的份額位居第二。

► CMP/熱處理/清洗設備:晶圓制造的後備力量,日本廠商各秀風采

CMP:根據Gartner數據,2022年全球CMP設備市場規模爲30億美元,主要由AMAT和荏原制作所占據,全球市占率分別爲66%、23%(2020年市占率分別爲64%、29%)。

熱處理工藝:根據Gartner數據,2020年全球半導體熱處理設備市場規模爲15億美元,我們等比例估算2022年市場規模約24億美元,AMAT、Kokusai、TEL三家企業占據全球熱處理設備市場絕大多數份額,市占率分別爲41%、21%、19%。

清洗設備:根據Gartner,2022年全球清洗設備市場規模49億美元,日本企業占比高,Screen和TEL分別以37%和29%的市占率分列前二(2020年市占率分別爲42%、18%)。

後道設備:先進封裝推升後道設備重要性,日企有較強技術儲備

先進封裝快速發展拉動後道設備需求

經濟效益推動Chiplet先進封裝落地。我們看到,物理極限在一定程度上制約了先進制程的進展,爲了提高芯片制造良率,先進制程成本高企,經濟效益有所降低,而直接縮減單顆芯片的面積是提高單片晶圓整體良率最快的方法。Chiplet技術可以將不同工藝和功能的芯片進行異質集成,性能可以達到大尺寸SoC的水平。這種技術的核心思想是先分再合,即先將單芯片中的功能塊拆分出來,再通過2.5D或3D等先進封裝方式將其集成爲大的單芯片。2.5D和3D封裝的主要區別在于是否有芯片與芯片在垂直方向上的連接;2.5D封裝形式中,芯片的排列主要在RDL、高密度基板或Interposer上進行平面排布;3D封裝形式中,芯片的排列包含了芯片在垂直方向上的堆疊,芯片與芯片之間直接進行鍵合。

Chiplet帶動中道設備需求,供應商與前道設備類似。先進封裝技術中,Bumping(凸塊)、TSV(硅通孔)和RDL(重布線層)等新的連接形式取代了傳統的引線鍵合。以Bumping爲例,其生産過程與前道制造類似,從步驟上看主要有光刻、塗膠顯影、濺射、刻蝕以及貫穿全過程的清洗,但特征尺寸通常在μm級別。因此全球來看,涉及中道制造設備的公司與前道制造設備供應商類似,例如在光刻工藝步驟中,AMAT、TEL、SUSS、Veeco、PSK等公司均有涉及。

Chiplet將制造複雜度從前道轉移至後道,日本廠商多爲後道設備龍頭。Chiplet主要使用的後道設備與傳統封裝類似,包括背面減薄機、切割機、引線鍵合機、焊線機、模塑機、切筋成型機等。但相比傳統封裝將晶圓片切割成單個芯片而後對單個芯片進行封裝焊線,Chiplet則需要將多個芯片層疊貼合成一個整體後再進行模塑、電鍍、打標等工序,導致減薄、切割、貼片、測試等環節的複雜程度增加,相應的後道設備用量和精度有所提升。例如在減薄環節中,Chiplet對芯片間高互聯要求持續提升,對應的晶圓厚度需要越來越薄,對減薄設備提出了更高的技術要求;貼片環節中,相比傳統封裝只需貼片一次,先進封裝的貼片次數跟隨成品芯片的集成度同步增加,同時所用設備也從貼片機和引線鍵合機替換爲倒裝鍵合機;測試環節中,由于Chiplet對晶圓處理步驟增加,因此相應增加了晶圓測試量,測試設備的用量大幅提升。日本在上述設備市場中占據全球龍頭地位,比如2022年DISCO的減薄和切割設備市占率約75%、Advantest的測試機市占率超過50%(根據Gartner),我們認爲Chiplet快速發展有望進一步打開日本後道設備廠商的增長前景。

日本廠商在各類後道設備市場占據龍頭地位

► 減薄/切割設備:從晶圓到芯片的第一步工序,DISCO和ACCRETECH近乎壟斷

減薄(Thinned)是後道封裝的第一個環節,目的在于減小晶圓厚度。切割(Dicing)是從晶圓上取下芯片的第一步,其步驟包括貼膜和切割兩步驟。根據Gartner,2022年切割和減薄設備市場規模約爲33億美元,呈現雙寡頭格局。2022年日本DISCO和ACCRETECH(東京精密)占據接近90%市場份額(2020年略超90%),其中DISCO一家的市占率超過70%。此外,全球其他的晶圓切割和減薄設備廠商包括德國G&N及日本Okamoto Semiconductor等。

► 測試設備:貫穿芯片制造全程,Chiplet帶動測試量增加,Advantest爲全球龍頭

Chiplet對晶圓處理步驟增加,因此相應增加CP測試量。根據SEMI,2023年全球半導體測試設備市場規模約63.2億美元,SEMI預計2025年回升至84.2億美元。其中,測試機占比約爲63%,分選機占比約爲17%,探針台占比約爲15%(根據SEMI 2018年數據線性推測)。從競爭格局來看,高端SoC測試機和存儲測試機主要由日本Advantest、美國Teradyne兩家廠商供應,根據Gartner數據,2022年Advantest、Teradyne市占率分別爲56%、38%(2020年市占率分別爲41%、53%)。探針台主要由東京電子和東京精密兩家日本廠商供應,市占率合計超過70%,其余供應商爲中國台灣的旺矽科技、中國大陸的惠特科技等。

► 模塑設備:後道封裝的收尾工序之一,日廠占一半以上份額

模塑:全球塑料封裝設備領軍企業主要有日本TOWA、日本YAMADA、荷蘭FICO等,兩家日本廠商占據全球一半以上份額,其中TOWA FY2022(截至2023年3月)的模塑設備和精密模具收入規模分別爲200億日元和101億日元。

風險提示

全球晶圓廠資本支出下滑。半導體設備廠商的訂單與晶圓代工廠、封測廠的産能擴張及設備換新緊密相關,若宏觀經濟下行、終端需求疲軟等因素導致晶圓代工廠、封測廠放緩産能擴張、減少資本開支,可能對半導體設備公司的收入和利潤産生較大影響。

公司産品研發能力減弱。半導體設備需要持續升級叠代以滿足多樣化的半導體生産工藝,若廠商研發能力減弱或研發投入不足,可能導致廠商的全球競爭力減弱,從而影響産品出貨。

地緣沖突加劇。半導體設備在半導體産業鏈中處于上遊,直接客戶主要爲晶圓代工廠、封測廠,且客戶的地域集中度較高,如果貿易摩擦加劇,可能影響部分産品尤其是高端産品的出口,從而影響半導體設備公司的收入和利潤。

[1]https://www.meti.go.jp/english/speeches/press_conferences/2022/1111001.html[2]https://www.meti.go.jp/english/speeches/press_conferences/2022/1111001.html[3]https://www.meti.go.jp/english/speeches/press_conferences/2024/0402001.html[4]https://pr.tsmc.com/english/news/2880[5]https://pr.tsmc.com/english/news/3105[6]https://asia.nikkei.com/Business/Tech/Semiconductors/Japan-prepares-4.9bn-in-funds-for-TSMC-s-2nd-Kumamoto-chip-plant[7]https://www.city.yokohama.lg.jp/city-info/koho-kocho/press/keizai/2023/1221kenkyu.html[8]《芯路》,馮錦鋒和郭啓航,機械工業出版社,2021年7月第1版[9]http://www.cinno.com.cn/industry/news/semiequipmentrevenue230912[10]https://www.semi.org.cn/site/semi/article/740b0b71e2ba4becaef1036e595a388b.html

文章來源

本文摘自:2024年5月10日已經發布的《全球硬科技巡禮(一):日本半導體設備的成長之路》

張怡康 分析員 SAC 執證編號:S0080522110007 SFC CE Ref:BTO172

何欣怡 聯系人 SAC 執證編號:S0080123070095

曹佳桐 分析員 SAC 執證編號:S0080523120004 SFC CE Ref:BUS534

夏依琳 聯系人 SAC 執證編號:S0080123060005 SFC CE Ref:BUL745

胡炯益 分析員 SAC 執證編號:S0080522080012

江磊 分析員 SAC 執證編號:S0080523070007 SFC CE Ref:BTT278

彭虎 分析員 SAC 執證編號:S0080521020001 SFC CE Ref:BRE806

石曉彬 分析員 SAC 執證編號:S0080521030001

法律聲明

0 阅读:3

情懷不該

簡介:感謝大家的關注